Sunburst Design, Inc. Logo
Expert Verilog, SystemVerilog, Synthesis & Verification Training

         HOME         
Expert
Verilog Training
     
Expert
Verilog Trainers
        Papers        
     Book Reviews     
About Cliff Cummings

NEW 3-day course
Sunburst Design - SystemVerilog for Design & Verification


At Sunburst Design, you get the experts and a great training deal!

Don Mills, Heath Chambers and Stefen Boyd were all hand-picked and personally trainined by Verilog Guru, Cliff Cummings.



         Don Mills         
         DON MILLS         
         Heath Chambers         

         HEATH CHAMBERS         
         Stefen Boyd         
         STEFEN BOYD         

Choosing a Verilog Trainer - by Cliff Cummings
(or ... who are those UNNAMED experts from other Verilog training companies?)

Most Verilog training companies will not tell you who their instructors are. Why? Because most Verilog trainers lack design knowledge, project experience or Verilog expertise.

One EDA vendor told me they ask applicants for a 3-year training commitment and are lucky if the trainer stays for two years. The problem is most Verilog trainers burn out from all the travel and after almost two years of doing Verilog training, they are usually offered a better job elsewhere with less travel. All of my instructors are expert consultants who mix training with contract design work. I don't tell them when they have to teach, they tell me when they want to teach and they balance their schedules to allow for other professional and family activities.

Questions You Should Ask Your Verilog Training Vendor (yes, even EDA vendors!):

  • Who is the instructor?
  • How many years of design experience does the instructor have?
  • Was the instructor a member of the IEEE Verilog Standards Committees? (This can be verified by looking in the IEEE Verilog Standard)
  • Has the instructor given any conference papers?
  • How is the instructor qualified to be a Verilog traininer?
  • Who wrote the Verilog training materials?
  • How many pages are in the Verilog training manuals? (Sunburst Design 4-day course training manuals have about 900 pages each!)

    A great Verilog trainer has three important qualities: (1) actual ASIC and FPGA design experience, (2) great presentation skills, (3) expert Verilog knowledge. A proven instructor is someone who has already given highly rated conference papers. Check out my instructors, they are the best!

    My favorite story about Verilog training was told by a one of my Advanced Course students who had taken Verilog training from an EDA vendor about three weeks prior to taking my class. The instructor told his students on the first day of class that he was an English Major in College who had been taught how to teach Verilog. Whenever anyone in class would ask a technical question, the instructor would wave his hands and say, "whoa, I'm just an English major!"

    My favorite job advertisement for Verilog consultants read, "Wanted: Expert Verilog Consultants. Two years of EDA experience required(!)"

    Every Sunburst Design Verilog Trainer auditioned by first giving a great conference paper, and then had to co-teach at least three Verilog courses with Cliff Cummings before Cliff would allow the instructor to fly solo. Cliff carefully monitors the instructor course ratings and does a refresher co-teach course with each instructor about once every two years. When you take a Sunburst Design Course, you are getting the best trainers and the best trained trainers.

    To request more information about Sunburst Design Training, E-mail: cliffc@sunburst-design.com

    Sunburst Design training courses are sold directly through Sunburst Design.



             HOME         
    Expert
    Verilog Training
         
    Expert
    Verilog Trainers
            Papers        
         Book Reviews     
    About Cliff Cummings