Sunburst Design, Inc. Logo
Expert Verilog, Synthesis & Verification Training

         HOME         
Expert
Verilog Training
     
Expert
Verilog Trainers
        Papers        
     Book Reviews     
About Cliff Cummings


Sunburst Design, Inc., provides expert Verilog consulting and training services. 
Our expert Verilog and SystemVerilog training courses are sold directly through Sunburst Design.

Stefen Boyd is President of Boyd Technology Inc., a company that specializes in providing services and solutions for attorneys, Verilog users, and tool providers. As a Verilog Expert Witness, Mr. Boyd quickly understands Verilog designs to provide a detailed and accurate analysis for use in litigation. Mr. Boyd makes design teams more effective, verification teams more productive, and EDA tool providers more focused.

Mr. Boyd is a Verilog expert who participates in the IEEE standardization of Verilog, and has extensively used Verilog for design and verification. Mr. Boyd has been actively involved in the Verilog 1364-2001 standardization as a member of the Behavioral Task Force since 1997. This Task Force was responsible for the synthesis and verification enhancements to the language. Mr. Boyd is also a member of the IEEE 1364.1 Verilog RTL Synthesis Interoperability Working Group, and of the newly formed Accellera HDL++ Working Group. Mr. Boyd has also supported patent litigation as a Verilog Expert Witness.

Mr. Boyd has authored conference papers on verification for the Synopsys Users Group ("SNUG") and HDLCon. Mr. Boyd is also a certified instructor for Sunburst Design training courses.



Note from Cliff Cummings - Stefen is my long-time IEEE Verilog Standards Group and Accellera SystemVerilog Standards Group colleague and firend. Stefen's combination of Verilog knowledge, proven teaching skills and recognized verification expertise make Stefen one of the finest Verilog instructors and a valuable member of my teaching staff.





To request more information about Sunburst Design Training, E-mail: cliffc@sunburst-design.com

Sunburst Design training courses are sold directly through Sunburst Design.



         HOME         
Expert
Verilog Training
     
Expert
Verilog Trainers
        Papers        
     Book Reviews     
About Cliff Cummings