Sunburst Design, Inc. Logo
World Class Verilog & SystemVerilog Training

Sunburst Design - Advanced Verilog-2001 Knowledge & Design Practices
by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Cliff Cummings is the only Verilog & SystemVerilog Trainer who helped develop every IEEE & Accellera Verilog, Verilog Synthesis and SystemVerilog Standard.

2-Day Verilog Syllabus in PDF

2 Days
40% Lecture, 60% Lab
Intermediate Level

Course Objective

Simply stated, to give engineers world class Advanced Verilog training using award winning materials developed by renowned Verilog & SystemVerilog Guru, Cliff Cummings

Upon completion of this course, students will:

Course Overview

Sunburst Design - Advanced Verilog-2001 Knowledge & Design Practices is a 2-day, fast-paced, intensive course on advanced IEEE 1364-2001 Verilog Hardware Description Language topics and its usage for hardware design and verification. This course is designed to emphasize important RTL modeling and efficient testbench techniques and to allow additional lab time to put these techniques into practice.

This course includes 40+ slides and a copy of Cliff's first award winning paper on nonblocking assignments to offer a comprehensive introduction to blocking vs. nonblocking assignments along with important coding guidelines related to design styles aimed at preventing Verilog simulation race conditions.

Another 40+ slides help to demonstrate multiple efficient Finite State Machine (FSM) coding styles. An EISA bus arbiter lab is designed to reinforce FSM concepts developed in class (no silly traffic-light controllers or soda pop change machines in this course!)

A detailed 350+ page student guide and 49-page Verilog-2001 HDL Quick Reference Guide supplement the lecture and provide excellent resources for after-class reference. Numerous exercises and labs reinforce the principles presented, with about 60% of class time devoted to lab work, including a 5-hour final DSP design lab on the last day of class. The final lab utilizes all of the techniques learned in earlier labs and reinforces how all aspects of Verilog are used in a large design project.

Target Audience

Sunburst Design - Advanced Verilog-2001 Knowledge & Design Practices is intended for new and self-taught Verilog design and verification engineers that require a full knowledge of the capabilities of the Verilog-2001 language. This is both a design and language class. This course also fulfills all of the prerequisites for the Sunburst Design - Expert Verilog-2001 for Synthesis & Verification course; a course that focuses specifically on Verilog-2001 techniques for design, synthesis and advanced verification.

Prerequisites (mandatory)

This course assumes that students have a practical working knowledge of Verilog HDL or have completed introductory Verilog HDL training. Engineers with VHDL synthesis experience and some Verilog exposure will do well in this class.

The Sunburst Design - Advantage

Who is teaching your "expert" and "advanced" classes? Most companies will not tell you because their instructors might not have much design experience or may never have participated on any of the Verilog Standards groups or presented at industry recognized conferences. Go to our web site and read about the Sunburst Design - Instructors - they are simply the best at what they do and they have the experience and qualifications to offer best-in-class training.

Sunburst Design Courses:

Course Customization? - Sunburst Design courses can be customized to include your company's coding guidelines or to modify the course for a different audience. Sections can be added or deleted from a course to meet you company's needs.


Course Syllabus

Day One

Blocking vs. Nonblocking Assignments
- Detailed instruction about Verilog blocking and nonblocking assignments. Detailed description of how the Verilog event queue works. Coding guidelines using blocking & nonblocking assignments. After using blocking and nonblocking assignments for two days, now we are ready to give the details for the guidelines already presented.

State Machine Design
- Detailed description and guidelines for coding Verilog state machines.

File I/O & Testbench Development
- Description of Verilog file I/O commands and usage. Fundamentals of using Verilog file I/O within test environments. Fundamentals of developing self-checking testbenches. Includes a detailed description of stimulus and verification timing.

Verilog Synthesis Design Flows
- This section details how designs are verified, both functionally and for correct timing. Synthesis design flows are also shown for ASICs and FPGAs.

Behavioral Commands & Verilog Strengths
- This section details advanced behavioral commands that are sometimes used and abused in models and testbenches. Correct and incorrect usage examples are included.

Gate & User Defined Primitives (UDPs)
- For comprehensive coverage of the Verilog language, gate primitives and UDPs are taught in this section. Many vendors use these two primitive types to accurately model their library primitives.

Day Two

Specify Blocks
- What all engineers should know to examine and use ASIC, FPGA and other vendor libraries. How path delays and timing checks are built into vendor models.

Switch Primitives
- For comprehensive coverage of the Verilog language, switch and strength reducing primitives are taught in this section. This section also details how switch primitives and strengths can be used to model passive devices, such as resistors and power supplies for board-level simulation.

Verilog Wizardry (Lab Intensive Project)
- This is the final project for the course. Students will use all aspects of the Verilog language in an actual design flow. Students will draw upon what they have learned in the previous three days to model, simulate and verify a complete Digital Signal Processor design.

Classroom Details
Training is generally conducted at your facilities. For maximum effectiveness, we recommend having one workstation or PC for every two students, with licenses for your preferred Verilog simulator (we often can help provide the simulator and temporary training licenses).


For more information, contact:
Cliff Cummings - cliffc@sunburst-design.com - Sunburst Design, Inc. - 503-641-8446